Q2/ The value of Y is 5 n Y 1 3 + X X³ X5 - *** Xn the following code calculates the value of Y, read the code carefully and program the same idea using the while loop. #include #include int main() { double x,y; int n; cout << "inter the value of x and n"; cin >> x>>n; int i,k; k=0; y=0; for (i=1;i

Computer Networking: A Top-Down Approach (7th Edition)
7th Edition
ISBN:9780133594140
Author:James Kurose, Keith Ross
Publisher:James Kurose, Keith Ross
Chapter1: Computer Networks And The Internet
Section: Chapter Questions
Problem R1RQ: What is the difference between a host and an end system? List several different types of end...
icon
Related questions
Question
Q2/ The value of Y is
1
3 5
Y = -
X X³ X5
Xn
the following code calculates the value of Y, read the code carefully and program
the same idea using the while loop.
#include <iostream.h>
#include <math.h>
int main()
{
double x,y;
int n;
cout << "inter the value of x and n";
cin >>x>>n;
int i,k;
k=0;
y=0;
for (i=1;i<n;i=i+2){
k=k+1;
y=y+(pow(-1,k)*i/pow(x,i));
}
cout << y;
return 0;
}
Transcribed Image Text:Q2/ The value of Y is 1 3 5 Y = - X X³ X5 Xn the following code calculates the value of Y, read the code carefully and program the same idea using the while loop. #include <iostream.h> #include <math.h> int main() { double x,y; int n; cout << "inter the value of x and n"; cin >>x>>n; int i,k; k=0; y=0; for (i=1;i<n;i=i+2){ k=k+1; y=y+(pow(-1,k)*i/pow(x,i)); } cout << y; return 0; }
Expert Solution
steps

Step by step

Solved in 3 steps with 2 images

Blurred answer
Recommended textbooks for you
Computer Networking: A Top-Down Approach (7th Edi…
Computer Networking: A Top-Down Approach (7th Edi…
Computer Engineering
ISBN:
9780133594140
Author:
James Kurose, Keith Ross
Publisher:
PEARSON
Computer Organization and Design MIPS Edition, Fi…
Computer Organization and Design MIPS Edition, Fi…
Computer Engineering
ISBN:
9780124077263
Author:
David A. Patterson, John L. Hennessy
Publisher:
Elsevier Science
Network+ Guide to Networks (MindTap Course List)
Network+ Guide to Networks (MindTap Course List)
Computer Engineering
ISBN:
9781337569330
Author:
Jill West, Tamara Dean, Jean Andrews
Publisher:
Cengage Learning
Concepts of Database Management
Concepts of Database Management
Computer Engineering
ISBN:
9781337093422
Author:
Joy L. Starks, Philip J. Pratt, Mary Z. Last
Publisher:
Cengage Learning
Prelude to Programming
Prelude to Programming
Computer Engineering
ISBN:
9780133750423
Author:
VENIT, Stewart
Publisher:
Pearson Education
Sc Business Data Communications and Networking, T…
Sc Business Data Communications and Networking, T…
Computer Engineering
ISBN:
9781119368830
Author:
FITZGERALD
Publisher:
WILEY